买专利,只认龙图腾
首页 专利交易 科技果 科技人才 科技服务 商标交易 会员权益 IP管家助手 需求市场 关于龙图腾
 /  免费注册
到顶部 到底部
清空 搜索

【发明授权】基于模型的规则表产生_台湾积体电路制造股份有限公司_201510853068.9 

申请/专利权人:台湾积体电路制造股份有限公司

申请日:2015-11-30

公开(公告)日:2021-01-12

公开(公告)号:CN106469234B

主分类号:G06F30/392(20200101)

分类号:G06F30/392(20200101);G06F30/398(20200101)

优先权:["20150821 US 14/832,884"]

专利状态码:有效-授权

法律状态:2021.01.12#授权;2017.03.29#实质审查的生效;2017.03.01#公开

摘要:本发明涉及基于模型的规则表产生。具体的,本发明提供一种用于制造半导体装置的方法,其包含例如从设计室接收集成电路IC布局图案。在一些实施例中,利用过程模拟模型以通过反向光刻技术ILT过程产生自由形式布局图案。所述过程模拟模型经配置以模拟用于所述IC布局图案的处理条件。在各种实施例中,所述自由形式布局图案与所述IC布局图案相关联。在一些实例中,产生简化布局图案,其中所述简化布局图案是所述自由形式布局图案的近似。之后,基于所述简化布局图案可以计算亚分辨率辅助特征SRAF规则且可以产生SRAF规则表。

主权项:1.一种半导体装置制造的方法,其包括:接收集成电路IC布局图案;利用经配置以模拟用于所述IC布局图案的处理条件的过程模拟模型,通过基于模型MB的掩模校正过程产生第二布局图案,其中所述第二布局图案与所述IC布局图案相关联;产生多个简化图案,所述多个简化图案分别是所述第二布局图案的近似;基于多个制造约束,自动自所述多个简化图案中选择第三布局图案;以及基于所述第三布局图案自动计算亚分辨率辅助特征SRAF规则;其中计算所述亚分辨率辅助特征SRAF规则进一步包含:获取所述第三布局图案的单位晶胞;界定所述单位晶胞的原点和参考坐标;识别所述单位晶胞最小对称象限;以及通过计算所述单位晶胞的几何信息,计算所述亚分辨率辅助特征SRAF规则。

全文数据:基于模型的规则表产生技术领域[0001]本发明涉及半导体技术领域,更具体的,涉及基于模型的规则表产生。背景技术[0002]电子设备行业已经历对同时能够支持更大数目的越来越复杂且精密的功能的更小且更快的电子装置的不断增加的需求。因此,在半导体行业存在制造低成本、高性能且低功率的集成电路(1C的持续趋势。至此,这些目标已经在很大程度上通过缩小半导体1C尺寸例如,最小特征尺寸且由此改进生产效率且降低相关联的成本来实现。然而,此类缩放还已经将增加的复杂性引入到半导体制造过程。因此,对在半导体1C和装置中的继续进步的实现需要在半导体制造过程和技术中的类似的进步。[0003]作为仅一个实例,1C尺寸的缩小已经通过使用一或多种分辨率增强技术RET例如相移掩模PSM、离轴照明(0ΑΙ以及光学邻近校正0PC扩展给定光刻产生的可用分辨率来实现。RET可以用于修改掩模布局以补偿用于1C的制造中的处理限制,所述处理限制在过程技术节点缩小时显现出其自身。在没有RET的情况下,在较大节点处所使用的布局设计的简单缩小通常导致不精确的或不良成形的特征。例如,在经设计以具有直角拐角的装置特征上的磨圆的拐角在较小技术节点处可能变得更加显著和或可能变得极其变形,从而按需要防止具有该变形特征的装置执行操作。不精确的或不良成形的图案特征的其它实例可以包含捏缩、颈缩、桥接、凹陷、腐蚀、金属线条粗细变化,和或可以直接影响装置性能的其它此类特性。一种0PC技术包含将亚分辨率辅助特征SRAF插入到设计布局中以防止不精确的或不良成形的特征。然而,SRAF插入主要依赖于凭经验产生的规则表。在常规的实例中,可以光刻方式处理例如,曝光和显影大量经启发式设计的图案,在这之后凭经验测量所述图案且产生和或更新规则表。此类图案设计、处理和经验数据收集是劳动力密集的且耗时的过程,所述过程向技术开发周期添加所不希望的延迟。因此,现有技术尚未证明在各方面中完全令人满意。发明内容[0004]根据本发明一实施例的半导体装置制造的方法包括:接收集成电路1C布局图案;利用经配置以模拟用于1C布局图案的处理条件的过程模拟模型,通过基于模型MB的掩模校正过程产生第二布局图案,其中第二布局图案与1C布局图案相关联;产生第三布局图案,第三布局图案是第二布局图案的近似;以及基于第三布局图案计算亚分辨率辅助特征SRAF规则。[0005]根据本发明另一实施例的半导体装置制造的方法,其中通过MB掩模校正过程产生第二布局图案包含:通过反向光刻技术ILT过程产生第二布局图案;计算SRAF规则进一步包含:基于过程模拟模型计算SRAF规则;第二布局图案包含自由形式布局图案,并且其中第三布局图案包含简化图案;第三布局图案包含多个用户定义的形状,并且其中多个用户定义的形状包含选自正方形、矩形以及椭圆形的一或多者;产生第三布局图案包含:执行图案简化过程以产生第三布局图案;本发明另一实施例的半导体装置制造的方法进一步包括更新SRAF规则表;本发明另一实施例的半导体装置制造的方法进一步包括:识别在所接收的1C布局图案内的布局热点;以及利用经配置以模拟用于所识别的布局热点的处理条件的过程模拟模型,通过ILT过程产生第二布局图案,其中第二布局图案与布局热点相关联。[0006]根据本发明又一实施例半导体装置制造的方法包括:执行反向光刻技术ILT过程以产生自由形式布局图案;利用过程模拟模型且基于多个制造约束,确定与自由形式布局图案相对应的简化布局图案;从简化布局图案获取多个规则;以及基于所获取的多个规则产生规则表。[0007]根据本发明又一实施例的方法包括:接收集成电路1C设计布局;通过掩模设计系统识别在所接收的1C设计布局中的至少一个布局热点;通过掩模设计系统产生与所识别的至少一个布局热点相对应的反向光刻技术ILT产生的布局图案;通过掩模设计系统执行布局简化过程以产生与ILT产生的布局图案相对应的简化布局图案;以及通过掩模设计系统基于所产生的简化布局图案计算亚分辨率辅助特征SRAF规则。附图说明[0008]当结合附图阅读时,从以下具体实施方式最好地理解本发明的各方面。应注意,根据行业中的标准惯例,各种特征未按比例绘制。实际上,为了论述清楚起见,可以任意增加或减小各种特征的尺寸。[0009]图1是集成电路1C制造系统和相关联的1C制造流程的实施例的简化框图;[0010]图2图示根据现有技术方法的用于产生用于1C掩模图案的辅助特征规则表的方法的流程图;[0011]图3是根据本发明的各种方面的图1中示出的掩模室的更详细框图;[0012]图4示出根据本发明的各种方面的产生用于1C掩模图案的辅助特征规则表的方法400的高级流程图;[0013]图5A图示根据方法400的一些实施例的1C设计布局的1C图案;[0014]图5B图示根据方法400的一些实施例的与1C图案相关联的自由形式布局图案;[0015]图5C图示根据方法400的一些实施例的为自由形式布局图案的近似的简化图案;[0016]图5D图示根据方法400的一些实施例的部分通过图5C的简化图案确定的基于模型的规则表MBRT;[0017]图6A到6C、7A到7C、8A到8C以及9A到9C图示根据方法400的一些实施例的可以用于近似自由形式布局图案的简化图案的各种实施例;[0018]图10A和10B图示如应用到替代的1C设计布局的方法400的实施例;[0019]图11A到11D图示根据一些实施例的用于至少一些类型的布局图案的SRAF规则表产生的示例性方法;以及[0020]图12A到12D图示根据一些实施例的用于至少一些替代的类型的布局图案的SRAF规则表产生的示例性方法。具体实施方式[0021]以下揭示内容提供用于实施所提供的标的物的不同特征的许多不同实施例或实例。下文描述组件以和布置的具体实例以简化本发明。当然,这些组件和布置仅为实例且并不意图为限制性的。例如,在以下描述中,第一特征在第二特征上方或上的形成可以包含其中第一特征和第二特征直接接触地形成的实施例,且还可以包含其中另外特征可以在第一特征与第二特征之间形成使得第一特征和第二特征可以不直接接触的实施例。另外,本发明可以在各种实例中重复参考标号和或字母。此重复是出于简化和清楚的目的,且本身并不指定所论述的各种实施例和或配置之间的关系。[0022]此外,例如"在…下"、"在…下方"、"下部"、"在…上方"、"上部"及类似者的空间上相对的术语可以在本文中为易于描述而使用,以描述如图中所说明的一个元件或特征与另一元件或特征的关系。除图中所描绘的定向之外,空间上相对的术语意图涵盖在使用中的装置或操作的不同定向。设备可以其它方式定向(旋转90度或在其它定向处),且本文中所使用的空间上相对的描述词同样地可相应地进行解释。[0023]本发明大体上涉及一种基于模型的规则表产生方法,所述方法有效地克服基于凭经验产生的规则表的SRAF插入的缺点。确切地说,本发明的实施例提供用于SRAF插入的过程感知规则表的产生。如本文中所使用,术语"过程感知规则表"用于界定至少部分通过针对给定布局特征的过程模拟产生的规则表。与需要光刻处理和经验数据收集的常规方法相比,本文中所揭示的实施例基于针对没有成本高的开发周期延迟的自适应、快速规则表创建的模拟过程提供用于SRAF插入的规则表的自动产生。[0024]图1是可以得益于本发明的各种方面的集成电路(1C制造系统100和与其相关联的1C制造流程的实施例的简化框图。1C制造系统100包含多个实体,例如设计室120、掩模室130以及1C制造商150即,制造厂),所述实体在设计、开发以及制造周期和或与制造集成电路1C装置160相关的服务中与彼此交互。多个实体通过通信网络连接,所述通信网络可以是单一网络或多种不同网络,例如内联网和因特网,且可以包含有线和或无线通信信道。每个实体都可以与其它实体交互且可以提供服务到其它实体和或从其它实体接收服务。设计室120、掩模室130以及1C制造商150中的一或多者可以具有共同的所有者,且甚至可以共存于共同的设施中且使用共同资源。[0025]在各种实施例中,可以包含一或多个设计小组的设计室120产生1C设计布局122。1C设计布局122可以包含经设计用于1C装置160的制造的各种几何图案。作为举例,所述几何图案可以与构成待制造的1C装置160的各种组件的金属、氧化物或半导体层的图案相对应。所述各种层组合以形成1C装置160的各种特征。例如,1C设计布局122的各种部分可以包含多个特征,例如有源区、栅极电极、源极和漏极区、金属线或金属互连的通孔、结合垫的开口、以及将形成在半导体衬底例如,硅晶片等和安置在半导体衬底上的各种材料层内的所属领域中已知的其它特征。在各种实例中,设计室120实施设计程序以形成1C设计布局122。所述设计程序可以包含逻辑设计、物理设计和或布局和路由。1C设计布局122可以呈现在具有与将用于制造1C装置160的几何图案相关的信息的一或多个数据文件中。在一些实例中,1C设计布局122可以用⑶SII文件格式或DFII文件格式表示。[0026]在一些实施例中,设计室120可以例如经由上文所描述的网络连接将1C设计布局122发射到掩模室130。掩模室130随后可以使用1C设计布局122来制造一或多个掩模,所述掩模将用于根据1C设计布局122制造1C装置160的各种层。在各种实例中,掩模室130执行掩模数据准备132,其中1C设计布局122转化成可以通过掩模写入器在物理上写入的形式;以及掩模制造144,其中通过掩模数据准备132来准备的设计布局经修改以遵从特定的掩模写入器和或掩模制造商且随后被制造。在图1的实例中,掩模数据准备132和掩模制造144作为单独的元件说明;然而,在一些实施例中,掩模数据准备132和掩模制造144可以共同称为掩模数据准备。[0027]在一些实例中,掩模数据准备132包含应用一或多种分辨率增强技术RET以补偿可能的光刻误差,例如可能由衍射、干涉或其它过程效应引起的那些光刻误差。在一些实例中,光学邻近校正0PC可以用于取决于周围的几何结构的密度调整线宽度、将"狗骨式"端盖添加到线的末端以防止线末端缩短、针对电子束e束邻近效应进行校正或用于如所属领域中已知的其它目的。例如,0PC技术可以添加亚分辨率辅助特征(SRAF,这例如可以包含根据光学模型或规则将散射条、衬线和或锤头添加到1C设计布局122,使得在光刻过程之后,晶片上的最终图案以增强的分辨率和精度得到改进。掩模数据准备132还可以包含另外的RET,例如离轴照明(0ΑΙ、相移掩模PSM、其它合适的技术或其组合。可以结合0PC而使用的一种技术是反向光刻技术ILT,所述反向光刻技术将0PC处理为反向成像问题且使用设计图案的整个区域而非仅设计图案的边缘来计算掩模图案。尽管ILT可能在一些情况下产生非直观掩模图案,但ILT可以用于制造具有高保真度和或实质上改进的焦深和曝光宽容度的掩模,由此实现特征(即,几何图案)的打印,这可能是以其它方式做不到的。在一些实施例中,ILT过程可以更一般地被称作基于模型的MB掩模校正过程。当然,在一些实例中,例如上文所描述的那些RET技术的且可以使用模型(例如来计算SRAF形状等的其它RET技术也可以落入MB掩模校正过程的范围内。[0028]掩模数据准备132可以进一步包含掩模规则检查器MRC,所述掩模规则检查器利用掩模产生规则的集合检查已经受一或多个RET过程例如,0PC、ILT等)的IC设计布局,所述掩模产生规则可以包含某些几何和连接限制以确保足够的余裕、以考虑在半导体制造过程中的可变性等。在一些情况下,MRC修改1C设计布局以补偿在掩模制造144期间可能遇到的限制,所述MRC可以修改通过一或多个RET过程执行的修改的部分以便满足掩模产生规贝1J。例如,MRC可以执行曼哈顿Manhattan转换以将非常弯曲和或波状的(即,制造不友好的经ILT处理的掩模设计转换成更加简化的常规的多边形图案(即,制造友好的),例如以适应e束掩模写入器,如下文所论述。[0029]在一些实施例中,掩模数据准备132可以进一步包含光刻过程检查LPC,所述光刻过程检查模拟将由1C制造商150实施以制造1C装置160的处理。LPC可以基于1C设计布局122模拟此处理以产生模拟制造的装置,例如IC装置160。在LPC模拟中的处理参数可以包含与1C制造周期的各种过程相关联的参数、与用于制造1C的工具相关联的参数和或制造过程的其它方面。作为举例,LPC可以考虑各种因素,例如投影对比度、焦深("DOF"、掩模误差增强因素("MEEF")、其它合适的因素或其组合。如下文更详细地描述,模拟的处理例如,由LPC实施可以用于提供过程感知规则表的产生例如,用于SRAF插入)。因此,在各种实施例中,考虑到1C制造商150的处理条件,可以针对具体1C设计布局122产生SRAF规则表。[0030]在一些实施例中,在模拟制造的装置已经由LPC产生之后,如果模拟的装置布局在形状上并不足够精确地满足设计规则,那么可以重复在掩模数据准备132中的某些步骤,例如0PC和MRC,以进一步优化1C设计布局122。在此类情况下,还可以更新先前产生的SRAF规则表。[0031]应理解,掩模数据准备132的上述描述已经出于清楚的目的进行简化,且数据准备可以包含另外的特征,例如用于根据制造规则修改1C设计布局的逻辑操作L0P。另外,在数据准备132期间应用到1C设计布局122的过程可以按多种不同次序执行。[0032]在掩模数据准备132之后且在掩模制造144期间,可以基于经修改的1C设计布局制造掩模或一组掩模。例如,电子束e束或多个e束的机制用于基于经修改的1C设计布局在掩模光掩模或光罩上形成图案。掩模可以用各种技术形成。在实施例中,掩模使用二元技术形成。在一些实施例中,掩模图案包含不透明区和透明区。用于曝光涂覆在晶片上的辐射敏感的材料层例如,光阻材料的辐射束例如紫外线UV束被不透明区阻断且透射穿过透明区。在一个实例中,二元掩模包含透明衬底例如,熔融石英和涂覆在掩模的不透明区中的不透明材料例如,铬)。在一些实例中,掩模使用相移技术形成。在相移掩模PSM中,形成于掩模上的图案中的各种特征经配置以具有预配置相位差以增强图像分辨率和成像质量。在各种实例中,相移掩模可以是衰减PSM或交替式PSM。[0033]在一些实施例中,1C制造商150例如半导体铸造厂使用通过掩模室130制造的掩模以将一或多个掩模图案转印到生产晶片152上且因此在生产晶片152上制造1C装置160。1C制造商150可以包含1C制造设施,所述1C制造设施可以包含用于制造多种不同1C产品的大量制造设施。例如,1C制造商150可以包含用于多个1C产品的前端制造(即,前段制程FE0L制造)的第一制造设施,而第二制造设施可以提供用于1C产品的互连和封装的后端制造(即,后段制程BE0L制造),且第三制造设施可以提供用于铸造厂业务的其它服务。在各种实施例中,其内和或其上制造有1C装置160的半导体晶片(即,生产晶片152可以包含硅衬底或具有形成于其上的材料层的其它衬底。其它衬底材料可以包含另一合适的基本半导体,例如金刚石或锗;合适的化合物半导体,例如碳化硅、砷化铟或磷化铟;或合适的合金半导体,例如碳化硅锗、磷化镓砷、或磷化镓铟。在一些实施例中,半导体晶片可以进一步包含各种掺杂区、介电特征以及多层互连形成于随后的制造步骤处)。此外,掩模可以用于多个过程。例如,掩模可以用于离子植入过程以形成在半导体晶片中的各种掺杂区、用于蚀刻过程以形成在半导体晶片中的各种蚀刻区和或用于其它合适的过程。[0034]与本文中所揭示的实施例相比,常规技术可能不使用模拟的处理例如,由LPC提供来提供过程感知规则表的产生例如,用于SRAF插入)。作为举例且参考图1和2,在常规方法200中,(例如,从掩模室130所接收的IC设计布局122可以包含新布局,其中不存在布局特定的SRAF规则框202。在一些情况下,掩模数据准备132可以因此简单地使用由常规图案产生的SRAF规则表框204。在此类实例中,常规的SRAF规则表可能不考虑非常规图案例如,单一图案布局特征)(例如,在掩模制造144期间),这可能导致图案变形和或1C装置160的故障或退化。图2的方法250图示根据一些常规实施例的替代的方法。如方法250中示出,在框252处可以接收新布局。在框254处,新布局的一或多个特征可以图案化到一或多个掩模上例如,通过掩模制造144以用于新布局的经验测试。作为举例,1C制造商150可以使用通过掩模室130制造的掩模具有新布局的一或多个特征来将一或多个掩模图案传递到研究和开发R&D晶片154图1上且因此在R&D晶片154上执行一或多个光刻过程框256。在各种实施例中,光刻过程包含将实验SRAF图案图案化到R&D晶片154上。在R&D晶片154的光刻处理之后,随后可以将R&D晶片154传递到测试实验室例如,计量实验室或参数测试实验室)以用于经验分析156。因此,在框258处可以收集来自R&D晶片154的经验数据,包含对实验SRAF图案的评估。在各种实例中,随后可以将经验SRAF图案数据传递到掩模室130,其中例如基于经验SRAF数据可以确定所接收的新布局的SRAF规则框260。之后,SRAF规则表其先前可能仅包含通过常规图案确定的SRAF规则)可以在框262处更新以包含如在框260处确定的用于新布局的SRAF规则。掩模室130可以由此产生稳固的SRAF规则表并且其后将所述稳固的SRAF规则表用于掩模制造144。[0035]尽管常规技术可以提供稳固的SRAF规则表,如上文所描述,但用于提供此凭经验产生的SRAF规则表的成本相当高。在各种常规实例中,掩模室130可能必须提供大量经启发式设计的图案,所述图案随后由1C制造商150以光刻方式处理例如,曝光和开发),在这之后凭经验测量所述图案例如,通过经验分析156且产生和或更新规则表例如,通过掩模室130。因此,图案设计、处理以及经验数据收集是劳动力密集的且耗时的过程,所述过程向技术开发周期添加所不希望的延迟,且所述过程显然不是每当遇到新布局设计和或新单一布局特征时可以重复的过程。替代地,如下文更详细地描述,本发明的实施例基于不必处理R&D晶片和收集经验SRAF数据所述操作成本过高且导致技术开发周期延迟)的自适应快速规则表创建的模拟过程例如,如通过LPC模拟提供SRAF规则表的自动产生,所述SRAF规则表提供SRAF插入。[0036]现在参考图3,其中提供的是根据本发明的各种方面的图1中示出的掩模室130的更详细框图。在图3的实例中,掩模室130包含掩模设计系统180,所述掩模设计系统可操作以执行结合图1的掩模数据准备132且结合下文所论述的图4的方法400所描述的功能性。掩模设计系统180是信息处理系统,例如计算机、服务器、工作站或其它合适的装置。系统180包含以通信方式耦合到系统存储器184、大容量存储装置186以及通信模块188的处理器182。系统存储器184将非暂时性、计算机可读存储装置提供给处理器182以促进处理器对计算机指令的执行。系统存储器的实例可以包含随机存取存储器RAM装置,例如动态RAMDRAM、同步DRAMSDRAM、固态存储器装置和或所属领域中已知的多种其它存储器装置。计算机程序、指令以及数据存储在大容量存储装置186内。大容量存储装置的实例可以包含硬盘、光盘、磁光盘、固态存储装置和或所属领域中已知的一种其它大容量存储装置。通信模块188可操作以与1C制造系统100中的其它组件例如设计室120交流1C设计布局文件等信息。通信模块的实例可以包含以太网卡、802.UWiFi装置、蜂窝式数据无线电装置和或所属领域中已知的其它合适的装置。[0037]在操作中,掩模设计系统180经配置以在1C设计布局122通过掩模制造144传递到掩模190之前根据多种设计规则和限制操纵所述1C设计布局。例如,在实施例中,包含ILT、0PC、MRC以及LPC的掩模数据准备132可以实施为在掩模设计系统180上执行的软件指令。在此类实施例中,掩模设计系统180从设计室120接收包含1C设计布局122的第一GDSII文件192。在完成掩模数据准备132其可以在完成图4的方法400之后之后,掩模设计系统180将包含经修改1C设计布局的第二GDSII文件194发射到掩模制造144即,到掩模制造厂)。在替代实施例中,1C设计布局可以替代的文件格式例如DFII、CIF、0ASIS或任何其它合适的文件类型)在1C制造系统100中的组件之间发射。此外,在替代实施例中,掩模设计系统180和掩模室130可以包含另外和或不同的组件。[0038]图4示出根据各种实施例的用于在掩模制造之前修改1C设计布局的方法400的流程图。在一些实施例中,方法400可以在图1中示出的掩模室130的掩模数据准备132中实施。尽管当前实施例将方法400描述为从1C图案产生掩模图案,但所述方法还可以被视为通过转换或修改现有掩模图案从现有掩模图案产生另一掩模图案。此外,方法400还可以用于无掩模的制造过程中,其中1C设计布局通过包含方法400的过程转换成可通过无掩模的制造工具例如e束直接写入器存取的格式。在方法400之前、期间和之后可以提供另外操作,且所描述的一些操作可以被取代、消除或四处移动以用于所述方法的另外实施例。还应注意,方法400是示例性的,且并不意图将本发明限于超出在所附权利要求书中所明确地叙述的范围。下文将结合图1、3、5A到®、6A到6C、7A到7C、8A到8C、9A到9C以及10A10B进一步描述方法400。[0039]方法400开始于框402处,其中掩模室130接收1C设计布局122JC设计布局122包含表示集成电路(1C的特征的各种几何图案。例如,1C设计布局122可以包含主要1C特征,例如有源区、栅极电极、源极和漏极区、金属线或金属互连的通孔、结合垫的开口,所述1C特征可以形成于半导体衬底例如硅晶片和安置在半导体衬底上的各种材料层中。在一些实施例中,1C设计布局122还可以包含某些辅助特征,例如用于成像效果、处理增强和或掩模识别信息的那些特征。[0040]参考图5A的实例,在框402的实施例中,其中所说明的是实例1C图案500,其可以实所接收的1C设计布局122中包含的图案。在图5A的实例中,1C图案500包含正方形,所述正方形在一些实例中可以表示通孔或接头特征。方法400前进到框404,其中执行(例如,通过掩模数据准备132基于模型的MB掩模校正过程。在至少一些实例中,MB掩模校正过程包含反向光刻技术(ILT过程。具体来说,提供例如,通过掩模数据准备132理论模型,所述理论模型模拟将通过1C制造商150实施以制造1C图案500的处理。如本文中所使用且描述,术语"理论模型"可以等效地被称作"过程模拟模型"。作为举例,理论过程模拟模型可以包含通过相干系统的总和S0CS呈现的模型。在各种实例中,通过理论过程模拟模型执行的成像公式可以利用如所属领域中已知的一或多个模型公式,例如科勒Kohler照明模型、阿贝Abbe方法以及霍普金Hopkin方法等等。在一些情况下,理论过程模拟模型可以包含部分相干的成像系统、相干的成像系统或非相干的成像系统的建模。[0041]在各种实施例中,通过理论模型提供的过程模拟在ILT过程期间使用以产生自由形式布局图案502,如图5B中示出,其中自由形式布局图案502与图5A的1C图案500相关联。在一些实例中,自由形式布局图案与布局热点相对应。在一些情况下,自由形式布局图案与没有原始SRAF表格的布局相对应。在一些实施例中,ILT过程考虑(例如,1C制造商的过程的)多个制造约束,例如在各种曝光散焦值处的图案保真度、过程窗口大小和或掩模复杂性。在各种实例中,一或多个不同的制造约束可以在另一者上加强,由此允许ILT过程根据各种过程和或装置需要产生各种自由形式布局图案。[0042]在各种实施例中,给定1C制造商的过程的制造约束且给定用于制造1C图案500的过程模拟,由ILT过程产生的自由形式布局图案502可以是用于1C图案500的理想布局设计。然而,自由形式布局图案502并不是制造友好的,且因此对随后的处理例如掩模制造144呈现困难。因此,将自由形式布局图案502转换成一或多个制造友好的形状或几何图案是适当的。如本文中所使用,"制造不友好的"图案可以用于描述在给定由1C制造商150使用的过程和或处理光刻设备时不可制造的的图案,和或可制造但花费太多时间用于掩模产生(即,用于掩模写入的图案。[0043]方法400前进到框406,其中(例如,通过掩模数据准备132执行简化过程以产生"制造友好的"(即,可以在可接受量的时间中写入的可制造的掩模布局)。具体来说,简化过程的目标是得到近似自由形式布局图案502的一或多个制造友好的形状。在实施例中,选择多个用户定义的制造友好的形状中的一者,例如正方形或矩形,且随后确定所述形状的位置和大小以便取代1C设计布局122中的自由形式布局图案502,或替代地以便用于从1C设计布局122转换的另一设计布局。在一些实施例中,近似自由形式布局图案502图5B的简化图案504图5C通过简化过程在框406处得到。如图5C的实例中示出,简化图案504包含由多个矩形边散射条包围的正方形。然而,在其它实例中,简化过程可以产生其它类型的简化图案,如下文参考图6A到6C、7A到7C、8A到8C、9A到9C以及10A10B所论述。[0044]方法400前进到框408,其中(例如,通过掩模数据准备132确定SRAF规则且更新SRAF规则表。具体来说,可以基于理论模型和简化图案504获取和或计算用于1C图案500的SRAF规则。如图®中所示,基于理论模型和简化图案504确定基于模型的规则表MBRT506。如图5D的实例中示出,MBRT506可以包含各种信息,例如围绕中心正方形的两个简化环"环Γ和"环2")的散射条中的每一者的配置名称、间距、样式、接近性以及几何结构的规格例如,间隔、宽度和长度)。在各种实施例中,确定MBRT506可以包含创建新规则表或更新先前现有的规则表。在一些实施例中,SRAF规则表可以包含基于规则的规则表,其中所述规则通过常规图案确定。另外,在一些实施例中,SRAF规则表包含基于模型的规则表例如,MBRT506。在一些情况下,SRAF规则表可以包含由基于规则的表和基于模型的规则表构成的混合规则表。一经确定,MBRT506就可以应用到任何类似的布局图案(例如,包含类似的布局热点)。作为举例,"类似的布局图案"或"类似的布局热点"可以指具有实质上类似的几何形状例如,在预定义用户定义的容差内)的图案热点,如所属领域中已知。在一些实施例中,方法400可以同样应用于每个关键布局图案、应用于任何单一图案布局特征、和或应用于需要SRAF特征插入的任何其它布局图案或特征。如本文中所使用,术语"关键布局图案"或"关键特征"是指在光刻处理期间更易于出现缺陷的布局中的区域。在一些实例中,此类易错布局区域可以被称作布局"热点"。尽管不同的布局设计例如,与不同电路或装置相对应和或来自多种不同设计室或客户可以包含不同类型的布局热点,但本文中所揭示的实施例不限于特定类型的热点,而是可以按需要或希望应用到任何布局图案和或特征。因此,在一些实施例中,方法400可以进一步提供布局热点的识别,其后基于针对没有由常规的SRAF规则表产生遇到的成本高的开发周期延迟的自适应、快速规则表创建的所接收1C图案的制造的模拟过程来自动产生例如,通过掩模数据准备132用于SRAF插入的规则表。[0045]作为举例且在各种实施例中,SRAF规则表产生可以包含多个步骤例如,通过掩模数据准备132所执行)。图11A到11D图示用于包含常规阵列单位图案例如,类似于图5B5C、7A7B、8A8B、9A9B中示出的实例)的实施例的SRAF规则表产生的示例性方法。参考图11A,其中所说明的是根据一些实施例的用于SRAF规则表产生的方法1100。方法1100开始于框1102处,其中获取可实行的单位晶胞例如,图11B中示出的单位晶胞1103。方法1100前进到框1104,其中界定原点和参考坐标例如,还在图11B中示出)。方法1100随后前进到框1106,其中识别最小对称象限(例如,图11C中示出的右上象限1105等)。方法1100前进到框1108,其中计算相关几何信息例如,还在图11C中示出的'长度Γ、'长度2'、'宽度Γ、'宽度2'、'间隔Γ、'间隔2'等)。方法1100随后可以前进到框1110,其中将规则表例如,图11D中示出的规则表1107制成表和或以其它方式确定规则表。[0046]图12A到12D图示用于包含任意图案例如,类似于图10A中示出的实例)的实施例的SRAF规则表产生的示例性方法。参考图12A,其中所说明的是根据一些实施例的用于SRAF规则表产生的方法1200。方法1200开始于框1202处,其中获取可实行的图案组例如,图12B和12C中示出的矩形1、2、3、4。方法1200前进到框1204,其中界定原点参考顶点和参考坐标例如,还在图12B中图示出)。方法1200随后前进到框1206,其中计算相关的几何信息例如,还在图12C中示出的'长度Γ、'宽度Γ、'角度Γ、'中心Γ等)。方法1200随后可以前进到框1208,其中将规则表例如,图12D中示出的规则表1205制成表和或以其它方式确定规则表。[0047]类似于方法400,方法1100和1200也可以用于如上文所描述的无掩模的制造过程。并且,在方法1100和1200之前、期间和之后可以提供另外操作,且所描述的一些操作可以经取代、消除或四处移动以用于所述方法的另外实施例。还应注意,方法1100和1200是示例性的,且并不意图将本发明限于超出在所附权利要求书中所明确地叙述的范围。[0048]现在参考图6A到6C、7A到7C、8A到8C以及9Ailj9C,其中所说明的是可以从图5B中示出的自由形式布局图案502产生的简化图案(即,制造友好的图案)的各种实施例。例如,图6B图示包含双重同心正方形环图案的简化图案604,图7B图示包含双边散射条图案的简化图案704,图8B图示包含具有拐角辅助特征的双边散射条图案的简化图案804,且图9B图示包含具有斜拐角辅助特征的双边散射条图案的简化图案904。如上文所描述,尽管由ILT过程产生的自由形式布局图案502可以是1C图案500的理想布局设计,但它并不是制造友好的图案。因此,在各种实施例中,可以将简化图案504、604、704、804、904提供为自由形式布局图案502的可行的、制造友好的替代方案。然而,在确定实施所述简化图案中的哪一者来替代自由形式布局图案502时,可以考虑各种因素,包含1C制造商的过程的制造约束和用于制造给定简化图案504、604、704、804、904中的每一者的过程模拟。一般来说,(例如,掩模数据准备132的计算能力、(例如,1C制造商150的)生产能力以及1C装置160的设计和性能约束可以全部同时被认为是简化图案选择决策过程的部分。作为仅一个实例,此决策过程可以包含简化图案504、604、704、804、904中的每一者的例如关于光刻性能和或制造掩模的制造时间的可接受内容。在本文中所描述的各种实施例中,决策过程(即,选择使用哪一个简化图案是自动的例如,通过掩模数据准备132自动地执行且是本文中所描述的过程感知方法的部分,其中例如所选择的简化图案和随后产生的SRAF规则表都在考虑1C制造商150的处理条件等的情况下这样实现。[0049]参考图6A、7A、8A以及9A,其中所说明的是布局602、702、802以及902,所述布局示出叠加到自由形式布局图案502上的简化图案604、704、804、904中的每一者。作为举例,图6A图示叠加到自由形式布局图案502上的简化图案604,图7A图示叠加到自由形式布局图案502上的简化图案704,图8A图示叠加到自由形式布局图案502上的简化图案804,且图9A图示叠加到自由形式布局图案502上的简化图案904。如可以通过对图6A、7A、8A以及9A的检查了解,简化图案604、704、804、904中的每一者以不同的保真度近似自由形式布局图案502。在一些实施例中,简化图案904可以最佳地近似自由形式布局图案502;然而,由于一或多个约束例如,1C制造商150可能不可制造倾斜的散射条),可以选择简化图案中的另一者。在各种实例中,不同的简化图案可以是可用的和或可以提供,且随后考虑到一或多个约束可以(例如,通过数据准备132动态地选择适当的简化图案,如上文所描述。[0050]再次参考图6B、7B、8B以及9B的简化图案604、704、804、904,其中还说明的是用于形成各种简化图案的几何形状中的每一者的规则配置。例如,如图6B中示出,简化图案604可以包含'间隔Γ在中心正方形和内环之间)和内环('环Γ的'宽度Γ,以及'间隔2'(在内环和外环之间)和外环('环2')的'宽度2'。如果选择简化图案604来表示自由形式布局图案502,那么在方法400的框408的实施例中,可以(例如,通过掩模数据准备132确定SRAF规则且更新SRAF规则表。具体来说,可以基于理论模型和简化图案604获取和或计算SRAF规贝丨J。如图6C中示出,确定MBRT606。在各种实例中,MBRT606可以包含信息,例如用于围绕中心正方形的简化环('环Γ和'环2')中的每一者的配置名称(例如,正方形阵列)、间距(例如,隔离)、样式例如,双重同心正方形环)、接近性以及几何结构的规格例如,间隔和宽度)。在一些实例中,MBRT606还可以提供中心正方形的规格。[0051]在图7B中示出的实例中,简化图案704可以包含'间隔Γ在中心正方形与每个相邻的内部散射条-'环Γ之间)、散射条的内环('环Γ的每个条的'宽度Γ,以及散射条的内环('环Γ的每个条的'长度Γ。简化图案704可以进一步包含'间隔2'(在内部散射条与相邻的外部散射条-'环2'之间)、散射条的外环('环2')的每个条的'宽度2',以及散射条的外环('环2')的每个条的'长度2'。如果选择简化图案704来表示自由形式布局图案502,那么在方法400的框408的实施例中,可以(例如,通过掩模数据准备132确定SRAF规则且更新SRAF规则表。具体来说,可以基于理论模型和简化图案704获取和或计算SRAF规则。如图7C中示出,确定MBRT706。在各种实例中,MBRT706可以包含信息,例如用于围绕中心正方形的简化环('环Γ和'环2')中的每一者的配置名称例如,正方形阵列)、间距(例如,隔离)、样式例如,双边散射条)、接近性以及几何结构的规格例如,间隔、宽度和长度)。在一些实例中,MBRT706还可以提供中心正方形的规格。[0052]在图8B中示出的实例中,简化图案804可以包含'间隔Γ在中心正方形与每个相邻的内部散射条-'环Γ之间)、散射条的内环('环Γ的每个条的'宽度Γ,以及散射条的内环('环Γ的每个条的'长度Γ。简化图案804可以进一步包含'间隔2'(在内部散射条与相邻的外部散射条-'环2'之间)、散射条的外环('环2')的每个条的'宽度2'、散射条的外环'环2')的每个条的'长度2'、'间隔3'(在中心正方形与拐角辅助特征之间)、'方位角3'(界定从中心正方形到拐角辅助特征的角度),以及界定拐角辅助特征的几何结构的'宽度3'。如果选择简化图案804来表示自由形式布局图案502,那么在方法400的框408的实施例中,可以(例如,通过掩模数据准备132确定SRAF规则且更新SRAF规则表。具体来说,可以基于理论模型和简化图案804获取和或计算SRAF规贝lj。如图8C中示出,确定MBRT806。在各种实例中,MBRT806可以包含信息,例如用于围绕中心正方形的简化环('环Γ和'环2')中的每一者且用于拐角辅助特征的配置名称例如,正方形阵列)、间距例如,隔离)、样式例如,具有拐角辅助特征的双边散射条)、接近性,以及几何结构的规格例如,间隔、宽度、长度、方位角)。在一些实例中,MBRT806还可以提供中心正方形的规格。[0053]在图9B中示出的实例中,简化图案904可以包含'间隔Γ在中心正方形与每个相邻的内部散射条-'环Γ之间)、散射条的内环('环Γ的每个条的'宽度Γ,以及散射条的内环('环Γ的每个条的'长度Γ。简化图案904可以进一步包含'间隔2'(在内部散射条与相邻的外部散射条-'环2'之间)、散射条的外环('环2')的正交于中心正方形的条的'宽度2'、散射条的外环('环2')的正交于中心正方形的条的'长度2'、'间隔3'(在中心正方形与拐角辅助特征之间)、'方位角3'(界定从中心正方形到拐角辅助特征的角度)、界定用作拐角辅助特征的散射条的宽度的'宽度3'、界定用作拐角辅助特征的散射条的长度的'长度3',以及界定用作拐角辅助特征的倾斜散射条的旋转位置的'角度3'。如果选择简化图案904来表示自由形式布局图案502,那么在方法400的框408的实施例中,可以(例如,通过掩模数据准备132确定SRAF规则且更新SRAF规则表。具体来说,可以基于理论模型和简化图案904获取和或计算SRAF规则。如图9C中示出,确定MBRT906。在各种实例中,MBRT906可以包含信息,例如用于围绕中心正方形的简化环('环Γ和'环2')中的每一者且用于用作拐角辅助特征的倾斜散射条的配置名称例如,正方形阵列)、间距例如,隔离)、样式例如,具有拐角辅助特征的双边散射条)、接近性,以及几何结构的规格例如,间隔、宽度、长度、方位角、角度)。在一些实例中,MBRT906还可以提供中心正方形的规格。[0054]尽管已经参考正方形图案例如,正方形1C图案500提供以上论述,但本文中所描述的各种实施例和方法并不意欲限于此类简单图案或特征。确切地说,本发明的实施例包含方法400可以应用到任何布局图案、任何任意特征和或关键布局热点(如上文所描述)以提供用于SRAF特征插入的规则表的自动产生例如,通过掩模数据准备132。例如,图10A图示可以得益于本文中提供本发明的方面的包含由自由形式不规则形状表示的关键图案即,布局热点)的布局1002。在一些实施例中,所述自由形式不规则形状可以通过反向光刻技术(ILT过程形成。此外,在方法400的框406的实施例中,可以(例如,通过掩模数据准备132执行简化过程以得到近似布局1002的自由形式不规则形状的简化的制造友好的图案。在图10A的实例中,简化图案由多个矩形矩形1、矩形2、矩形3和矩形4表示。然而,如上文所论述,所述简化图案可以包含多种几何形状中的任一者,其中确定实施哪一个形状来替代布局1002的自由形式不规则形状是基于各种因素,例如例如,1C制造商的过程的)制造约束、用于制造简化图案的过程模拟、计算能力,以及随后制造的1C装置的设计和性能约束。[0055]如图10A中示出,如由多个矩形表示的自由形式不规则形状的简化图案可以包含用于形成简化图案的几何形状例如,矩形)中的每一者的规则配置。例如,矩形1、2、3、4中的每一者的中心点可以相对于包围所有主要特征例如,包含自由形式不规则形状以及特征1004、1006、1008的最小方框的顶点(例如,左上顶点)确定。在图10A的实例中,多个特征1004、1006、1008可以包含通孔或接头特征;然而,在其它实施例中,还可以存在其它相邻特征。同样地,在其它实施例中,包围所有主要特征的最小方框的其它顶点或相邻特征例如特征1004、1006、1008的顶点可以替代地用作参考点,从所述参考点测量矩形1、2、3、4中的每一者的中心点。另外,可以确定矩形1、2、3、4中的每一者的宽度、长度以及角度例如,相对于例如水平的参考平面)。在一些实例中,给定简化图案例如,如由多个矩形表示且在方法400的框408的实施例中,可以(例如,通过掩模数据准备132确定SRAF规则且更新SRAF规则表。具体来说,可以基于理论模型和矩形的简化图案获取和或计算SRAF规则。如图10B中示出,确定MBRT1010。在各种实例中,MBRT1010可以包含信息,例如用于矩形('矩形Γ、'矩形2'、'矩形3'以及'矩形4')中的每一者的配置名称例如,随机关键图案)、间距例如,非周期性)、样式例如,三个靠近的通孔)、坐标例如,相对于包围所有主要特征的最小方框的左上顶点,所述坐标还可以与特征1004的拐角相对应),以及几何结构的规格例如,中心、宽度、长度、角度)。[0056]在以上论述中,正方形和矩形呈现为制造友好的形状。然而,应注意,在一些实施例中还可以使用其它形状,例如椭圆形。在一些实例中,可以使用超过一种类型的制造友好的形状的混合。例如,在一些实施例中,自由形式布局图案例如,自由形式布局图案502可以通过正方形、矩形和或椭圆形的组合近似。[0057]另外,本文中所揭示的各种实施例,包含方法400,可以在任何合适的计算系统上实施,例如结合图3所描述的掩模设计系统180。在一些实施例中,方法400可以在单一计算机、局域网、客户端-服务器网络、广域网、互联网、手持式和其它便携式无线装置和网络上执行。此系统架构可以采用完全硬件实施例、完全软件实施例、或包含硬件和软件元件两者的实施例的形式。作为举例,硬件大体上包含至少具有处理器功能的平台,例如客户机也被称作个人计算机或服务器);以及手持式处理装置例如智能电话、个人数字助理PDA或个人计算装置PCD等)。另外,硬件可以包含能够存储机器可读指令的任何物理装置,例如存储器或其它数据存储装置。其它形式的硬件包含硬件子系统,其包含例如调制解调器、调制解调器卡、端口以及端口卡等传递装置。在各种实例中,软件大体上包含存储在任何存储媒体例如RAM或ROM中的任何机器代码,以及存储在其它装置例如软盘、快闪存储器或⑶-ROM等上的机器代码。在一些实施例中,软件可以包含例如源或目标代码。另外,软件可以涵盖能够在客户机或服务器中执行的任何指令集。[0058]此外,本发明的实施例可以采用可从有形的计算机可用或计算机可读媒体存取的计算机程序产品的形式,所述计算机可用或计算机可读媒体提供程序代码以供或结合计算机或任何指令执行系统使用。出于此描述的目的,有形的计算机可用或计算机可读媒体可以是可以包含、存储、传送、传播或传输供或结合指令执行系统、设备或装置使用的程序的任何设备。所述媒体可以是电子、磁性、光学、电磁、红外线、半导体系统或设备或装置)、或传播媒体。[0059]在一些实施例中,可以提供被称为数据结构的经界定的数据组织以实现本发明的一或多个实施例。例如,数据结构可以提供数据的组织、或可执行码的组织。在一些实例中,数据信号可以被携载在一或多个传输媒体上且存储和传输各种数据结构,且因此可以用于传输本发明的实施例。[0060]本发明的实施例提供优于现有技术的优点,但应理解,其它实施例可以提供不同的优点,并非所有优点都有必要在本文中论述,且对于所有实施例并不需要特定的优点。通过所揭示的基于模型的规则表产生方法,有效地克服基于凭经验产生的规则表的SRAF插入的缺点。例如,本发明的实施例提供用于SRAF插入的过程感知规则表的产生,其中此SRAF规则表至少部分通过利用针对给定布局特征例如,布局热点等)的过程模拟而产生。与需要光刻处理和经验数据收集的常规方法相比,本文中所揭示的实施例基于针对没有成本高的开发周期延迟的自适应、快速规则表创建的模拟过程提供用于SRAF插入的规则表的自动产生。所属领域的技术人员将容易了解,在本文中所描述的方法可以应用到多种其它半导体布局、半导体装置以及半导体工艺以在不脱离本发明的范围的情况下有利地实现与本文中所描述的益处类似的益处。[0061]因此,本发明的实施例中的一者描述一种用于制造半导体装置的方法,所述方法包含例如从设计室接收集成电路(1C布局图案。在一些实施例中,利用过程模拟模型以通过反向光刻技术(ILT过程产生第二布局图案。所述过程模拟模型经配置以模拟用于1C布局图案的处理条件。在各种实施例中,所述第二布局图案与1C布局图案相关联。在一些实例中,(例如,通过数据准备132产生第三布局图案,其中所述第三布局图案是第二布局图案的近似。之后,可以基于第三布局图案(例如,通过数据准备132计算亚分辨率辅助特征SRAF规则。[0062]在实施例中的另一者中,所论述的是一种用于制造半导体装置的方法,所述方法包含执行ILT过程以产生自由形式布局图案。在一些实施例中,利用过程模拟模型且基于多个制造约束,确定简化布局图案。作为举例,简化布局图案与自由形式布局图案相对应。可以从简化布局图案获取多个规则,且基于所获取的多个规则产生规则表。[0063]在又其它实施例中,所论述的是一种包含接收1C设计布局且通过掩模设计系统识别在所接收的1C设计布局中的至少一个布局热点的方法。在各种实施例中,掩模设计系统可以提供与所识别的至少一个布局热点相对应的ILT产生的布局图案。在一些实例中,掩模设计系统随后可以执行布局简化过程以产生与ILT产生的布局图案相对应的简化布局图案。在一些实施例中,掩模设计系统可以基于所产生的简化布局图案进一步计算亚分辨率辅助特征SRAF规则。[0064]前文概述若干实施例的特征,使得所属领域技术人员可以更好地理解本发明的各方面。所属领域技术人员应理解,他们可以容易地将本发明用作用于设计或修改用于实现本文中所引入的实施例的相同目的和或实现相同优点的其它过程和结构的基础。所属领域的技术人员还应认识到,此类等效构造并不脱离本发明的精神和范围,且其可在不脱离本发明的精神和范围的情况下在本文中进行各种改变、替代和更改。

权利要求:1.一种半导体装置制造的方法,其包括:接收集成电路1C布局图案;利用经配置以模拟用于所述1C布局图案的处理条件的过程模拟模型,通过基于模型MB的掩模校正过程产生第二布局图案,其中所述第二布局图案与所述1C布局图案相关联;产生第三布局图案,所述第三布局图案是所述第二布局图案的近似;以及基于所述第三布局图案计算亚分辨率辅助特征SRAF规则。2.根据权利要求1所述的方法,其中所述通过所述MB掩模校正过程产生所述第二布局图案包含:通过反向光刻技术ILT过程产生所述第二布局图案。3.根据权利要求1所述的方法,其中所述计算所述SRAF规则进一步包含:基于所述过程模拟模型计算所述SRAF规则。4.根据权利要求1所述的方法,其中所述第二布局图案包含自由形式布局图案,并且其中所述第三布局图案包含简化图案。5.根据权利要求1所述的方法,其中所述第三布局图案包含多个用户定义的形状,并且其中所述多个用户定义的形状包含选自正方形、矩形以及椭圆形的一或多者。6.根据权利要求1所述的方法,其中所述产生所述第三布局图案包含:执行图案简化过程以产生所述第三布局图案。7.根据权利要求1所述的方法,其进一步包括更新SRAF规则表。8.根据权利要求1所述的方法,其进一步包括:识别在所述所接收的1C布局图案内的布局热点;以及利用经配置以模拟用于所述所识别的布局热点的处理条件的所述过程模拟模型,通过所述ILT过程产生所述第二布局图案,其中所述第二布局图案与所述布局热点相关联。9.一种半导体装置制造的方法,其包括:执行反向光刻技术ILT过程以产生自由形式布局图案;利用过程模拟模型且基于多个制造约束,确定与所述自由形式布局图案相对应的简化布局图案;从所述简化布局图案获取多个规则;以及基于所述所获取的多个规则产生规则表。10.-种方法,其包括:接收集成电路1C设计布局;通过掩模设计系统识别在所述所接收的1C设计布局中的至少一个布局热点;通过所述掩模设计系统产生与所述所识别的至少一个布局热点相对应的反向光刻技术ILT产生的布局图案;通过所述掩模设计系统执行布局简化过程以产生与所述ILT产生的布局图案相对应的简化布局图案;以及通过所述掩模设计系统基于所述所产生的简化布局图案计算亚分辨率辅助特征SRAF规则。

百度查询: 台湾积体电路制造股份有限公司 基于模型的规则表产生

免责声明
1、本报告根据公开、合法渠道获得相关数据和信息,力求客观、公正,但并不保证数据的最终完整性和准确性。
2、报告中的分析和结论仅反映本公司于发布本报告当日的职业理解,仅供参考使用,不能作为本公司承担任何法律责任的依据或者凭证。