买专利,只认龙图腾
首页 专利交易 科技果 科技人才 科技服务 商标交易 会员权益 IP管家助手 需求市场 关于龙图腾
 /  免费注册
到顶部 到底部
清空 搜索

【发明授权】胞元放置的方法、计算机系统及非暂时性计算机可读媒体_台湾积体电路制造股份有限公司_201810297849.8 

申请/专利权人:台湾积体电路制造股份有限公司

申请日:2018-04-03

公开(公告)日:2022-11-29

公开(公告)号:CN108875116B

主分类号:G06F30/392

分类号:G06F30/392;G06F30/396;G06F30/398

优先权:["20170515 US 62/506,037","20180124 US 15/878,818"]

专利状态码:有效-授权

法律状态:2022.11.29#授权;2018.12.18#实质审查的生效;2018.11.23#公开

摘要:一种胞元放置的方法。所述方法包括从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条。由处理器将第一胞元及第二胞元放置在布局区域中,所述布局区域包括第一类型的全局金属轨条及第二类型的全局金属轨条。第一类型的全局金属轨条中的每一者及第二类型的全局金属轨条中的每一者在布局区域中彼此交替。第一胞元的第一本地金属轨条及第二本地金属轨条分别与相邻的第一类型的第一全局金属轨和第二类型的第一全局金属轨条对齐。第二胞元的第一本地金属轨条及第二本地金属轨条分别与相邻的第一类型的第二全局金属轨和第二类型的第二全局金属轨条对齐。

主权项:1.一种胞元放置的方法,其特征在于,包括:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及由处理器将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。

全文数据:胞元放置的方法、计算机系统及非暂时性计算机可读媒体[0001]相关申请的交叉参考[0002]本申请主张2017年5月15日提出申请、标题为“受约束的异质PG结构胞元放置ConstrainedPlacementforHeterogeneousPG-StructureCells”的美国临时专利申请第62506,037号的权利,所述美国临时专利申请全文并入本文供参考。技术领域[0003]本揭露的实施例是有关于一种胞元放置的方法、计算机系统及非暂时性计算机可读媒体。背景技术[0004]电子设计自动化electronicdesignautomation,EDA工具可用于集成电路integratedcircuit,1C设计流程。举例来说,EDA工具可用于在1C布局设计中放置标准胞元例如,用于实作逻辑功能或其他电子功能的胞元)。随着技术的提高及对按比例缩小的1C的需求的增长,EDA工具在帮助设计复杂的1C布局设计方面变得日渐重要。发明内容[0005]本掲露公开一种胞元放置的方法,其特征在于,包括:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及由处理器将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。[0006]本揭露公开一种计算机系统,其特征在于,包括存储器以及处理器。存储器被配置成存储指令。处理器在执行所述指令时,被配置成实行包括以下的操作:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。[0007]本揭露公开一种非暂时性计算机可读媒体,其特征在于,所述非暂时性计算机可读媒体上存储有指令,所述指令在由计算装置执行时使所述计算装置实行包括以下的操作:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。附图说明[0008]结合附图阅读以下详细说明,会最好地理解本发明的各个方面。应注意,根据本行业中的标准惯例,各种特征并非按比例绘制。事实上,为论述清晰起见,可任意增大或减小各种特征的尺寸。[0009]图1是根据本发明一些实施例的布局区域中的示例性边界内型电源-接地(inboundarypower-ground,IBPG胞元及边界外型电源-接地(out-boundarypower-ground,0BPG胞元的示意图。[0010]图2是因不受约束的IBPG胞元放置方法而在布局区域中造成的示例性无效区域deadarea的不意图。[0011]图3是根据本发明一些实施例的使用受约束的胞元放置的1C设计流程的示例图的示意图。[0012]图4A及图4B是根据本发明一些实施例的具有统一的电源-接地P〇wer1round,PG位置的示例性IBPG胞元的示意图。[0013]图5是根据本发明一些实施例的具有受约束取向的示例性IBPG胞元放置的示意图。[0014]图6是根据本发明一些实施例的在布局中放置胞元的方法的示意图。[0015]图7是根据本发明一些实施例的使用受约束的胞元放置的示例性1C设计流程的示意图。[0016]图8a及图8B是根据本发明一些实施例的具有受约束的PG位置的示例性1BPG胞元放置的示意图。[001」图9是根据本发明一些实施例的在布局中放置胞元的方法的示意图。[0018]图^是根据本发明一些实施例的可在其中实作本发明的各种实施例的示例性计算机系统的示意图。[0019]图丨1是根据本发明一些实施例的基于使用受约束的IBPG胞元放置而产生的布局形成电路的工艺的示意图。具体实施方式[0020]以下公开内容提供用于实作所提供主题的不同特征的许多不同的实施例或实例。以下阐述组件及排列的具体实例以简化本揭露内容。这些仅为实例且不旨在进行限制。另夕卜,本公开内容在各种实例中重复使用参考编号及或字母。这种重复使用是出于简洁及清晰的目的且除非另外指明,否则自身并不表示所论述的各种实施例及或配置之间的关系。[0021]以下公开内容涉及优化1C布局设计中的标准胞元例如,用于实作逻辑功能或其他电子功能的胞元的放置。在一些实施例中,胞元库包括具有统一的本地金属轨条例如,电源-接地PG线位置的胞元例如,IBPG胞元)。举例来说,对于具有统一的PG线位置的每一IBPG胞元,电源线及接地线相对于参考点的相对位置可为相同的。胞元库中的胞元被放置在其中每一胞元具有带有受约束取向的全局金属轨条例如,PG线)的1C布局区域中。举例来说,对于具有受约束取向的每一IBPG胞元,胞元相对于参考点的相对取向可为相同的。在一些实施例中,胞元库中的胞元例如,IBPG胞元不需要统一的本地金属轨条位置。胞元库中的胞元被放置在其中每一胞元均具有受约束的本地金属轨条例如,PG线位置的1C布局区域中。通过利用本文中所公开的胞元放置方法的实施例,胞元例如,IBPG胞元之间的失效区域可减少或消除,此转而会优化标准胞元的放置。[0022]图1是根据本发明一些实施例的布局区域100中的示例性边界内型电源-接地IBPG胞元1〇8及边界外型电源-接地0BPG胞元106的示意图。布局区域100可为较大的1C布局设计(图中未示出)的一部分。布局区域100可包括并列地排列的多个全局金属轨条,包括一组第一全局金属轨条104及一组第二全局金属轨条102。第一全局金属轨条104与第二全局金属轨条102可在布局区域100中彼此交替。举例来说,如图1中所示,第二全局金属轨条1〇2_1排列在第一全局金属轨条104-1上方,第一全局金属轨条104-1排列在另一第二全局金属轨条102-2上方。第二全局金属轨条102-2排列在另一第一全局金属轨条104-2上方。如本文中所公开,金属轨条102及104因其横跨布局区域1〇〇的整个宽度而被视为“全局的”。在一些实施例中,交替的第一全局金属轨条104与第二全局金属轨条1〇2之间的距离或高度是相等的。换句话说,根据一些实施例,第一全局金属轨条1〇4与第二全局金属轨条102之间的节距或间距可为均匀的或几近均匀的)。在一些实施例中,第一全局金属轨条1〇4中的每一者对应于或映射到)电源线VDD,且第二全局金属轨条1〇2中的每一者对应于或映射到接地线VSS。[0023]参照图丨,在布局区域100中放置多个标准胞元,包括0BPG胞元丨06及IBPG胞元丨08。在一些实施例中,标准胞元IBPG胞元或0BPG胞元可视所述胞元的功能性而具有不同的宽度例如,可横跨不同数目的放置地点)。每一放置地点可具有单位宽度以供放置具有最小宽度的标准胞元。举例来说,具有低复杂性逻辑功能例如,反相器逻辑功能)的标准胞元可占用单一放置地点,而具有中度复杂性逻辑功能例如,彼此耦合以实行特定功能的多个逻辑门)的标准胞元可占用多个放置地点。[0024]在一些实施例中,IBPG胞元1〇8具有相等的或几近相等的)高度在所述胞元的顶边界与底边界之间测量)。所述筒度可例如等于相邻的第一全局金属轨条1〇4与第二全局金属轨条102之间的距离的两倍。即,第一全局金属轨条1〇4与第二全局金属轨条1〇2之间的节距可为IBPG胞元108的高度的一半。在一些实施例中,每一IBPG胞元108包括第一本地金属轨条II2及第二本地金属轨条1HKIBPG胞元108中的第一本地金属轨条112与第二本地金属轨条110二者可定位成与IBPG胞元108的顶边界及底边界邻近。在一些实施例中,IBPG胞元108中的第一本地金属轨条112与第二本地金属轨条11〇不位于IBPG胞元108的顶边界或底边界处或IBPG胞元1〇8的顶边界或底边界上)。如本文中所公开,当第一本地金属轨条与第二本地金属轨条位于标准胞元的顶边界及底边界内且不位于所述标准胞元的顶边界及底边界处时,所述标准胞元被视为“边界内型”。[0025]第一本地金属轨条112及第二本地金属轨条11〇中的每一者可横跨IBPG胞元108的整个宽度。在一些实施例中,第一本地金属轨条112中的每一者对应于或映射到)电源线VDD,且第二本地金属轨条11〇中的每一者对应于或映射到接地线vss。一旦IBPG胞元1〇8被放置在布局区域100中,则IBPG胞元1〇8内的每一第一本地金属轨条112可与第一全局金属轨条104中的一者对齐,且IBPG胞元108内的每一第二本地金属轨条11〇可与第二全局金属轨条102中的一者对齐。举例来说,如图1中所示,IBPG胞元108_丨的本地电源线VDDu2—丄及IBPG胞元108-2的本地电源线VDD112-2与全局电源线VDD104-1对齐;IBPG胞元108-2的本地接地线VSS110-2与全局接地线VSS1〇2-1对齐;且IBPG胞元108-1的本地接地线VSS110-1与全局接地线VSS102-2对齐。[0026]每一IBPG胞元108还可包括用于确定在放置之后布局区域1〇〇中的每一IBPG胞元1〇8的取向的参考点(或原点)114。举例来说,如图1中所示,IBPG胞元108-1及108-2中的每一者在放置之后相对于各自的参考点114-1及114-2具有共同的取向,例如位于左下角处。参考点114可用于确定IBPG胞元108内的第一本地金属轨条112及第二本地金属轨条110的相对位置。举例来说,如图1中所示,对于IBPG胞元108-1,第二本地金属轨条110-1比第一本地金属轨条112-1更靠近参考点114-1;对于另一IBPG胞元108-2,第一本地金属轨条112-2比第二本地金属轨条11〇_2相对于参考点114_2更靠近参考点114_2。应知,由于以上所述IBPG胞元108的第一本地金属轨条II2及第二本地金属轨条110的位置是相对于各自的参考点114-1或114-2的“相对位置”,因此与相对于布局区域100相反,所述相对位置与将如何在布局区域100中放置例如,是否翻转)IBPG胞元108无关。然而,IBPG胞元1〇8的取向是由如何在布局区域100中放置IBPG胞元108决定。[⑻27]在一些实施例中,〇BPG胞元106的高度可有所变化。举例来说,OBPG胞元106的高度可,相邻的第一全局金属轨条104与第二全局金属轨条1〇2之间的距离的一倍或多倍。0BPG胞元106可包括至少两个本地金属轨条:一个或多个第一本地金属轨条,及一个或多个第二本地金属轨条。OBPG胞兀106中的所述至少两个本地金属轨条中的两者可位于〇bpg胞元1〇6的顶边界及底边界处(或OBPG胞元106的顶边界及底边界上)。在一些实施例中,〇BPG胞元1〇6中的所述两个本地金属轨条不位于OBPG胞元1〇6的顶边界及底边界内。如本文中所公开,当两个本地金属轨条位于标准胞元的顶边界及底边界处且不位于所述标准胞元的顶边界及底边界内时,所述标准胞元被视为“边界外型”。0BPG胞元106包括参考点。[0028]参照图1,根据一些实施例,0BPG胞元106-1具有与相邻的第一全局金属轨条104和第二全局金属轨条102之间的距离相等的高度。0BPG胞元106-1包括两个本地金属轨条:分别位于顶边界及底边界处的本地电源线VDD及本地接地线VSS。另一0BPG胞元106-2具有与相邻的第一全局金属轨条104和第二全局金属轨条102之间的距离的两倍相等的高度。〇BPG胞元106-2包括三个本地金属轨条:位于0BPG胞元106-2的中间处的一个本地电源线VDD,以及分别位于0BPG胞元106-2的顶边界及底边界处或0BPG胞元106-2的顶边界及底边界上)的两个本地接地线VSS。[0029]在一些实施例中,在放置之后具有IBPG胞元108与0BPG胞元106二者的布局区域可被视为“异质的”,且在放置之后仅具有IBPG胞元108或仅具有0BPG胞元106的布局区域被视为“同质的”。随着技术的提高及对按比例缩小的1C的需求的增长,需要将更大数目的标准胞元适配在更小的1C布局设计中,因而对1C制造商提出挑战。在一些实施例中,对于优化的1C布局设计,仅具有IBPG胞元108的同质布局区域可为所期望的。[0030]然而,布局区域中的同质0BPG胞元可能在放置之后在IBPG胞元之间造成碎片fragmentation,其中所述碎片可能不能够被其他胞元使用。这些无法使用的碎片失效区域)可能妨碍EDA工具通过添加胞元或确定胞元的大小进行优化。图2是因不受约束的IBPG胞元放置方法而在布局区域2〇0中造成的示例性无效区域208的示意图。在此实例中,布局区域2〇0包括多个第一全局金属轨条2〇2及多个第二全局金属轨条204。在将三个IBPG胞元206放置在布局区域200中之后,沿布局区域200的高度的相邻IBPG胞元206之间的具有一个胞元高度的间距无法被任何其他标准胞元例如,被IBPG胞元或0BPG胞元使用且因此被视为“失效区域”。[0031]本发明的实例通过约束IBPG胞元放置位置来解决此问题及其他问题。作为结果,可移除在放置之后在IBPG胞元之间形成的失效区域,由此方便EDA工具在布局设计中优化地放置胞元。[0032]图3是根据本发明一些实施例的使用受约束的胞元放置的1C设计流程300的示例图的示意图。可通过EDA工具来实行1C设计流程300。1C设计流程300包括自动放置及路由automaticplacementandrouting,APR阶段3〇8,APR阶段308是1C的实体设计流程的一部分。在一些实施例中,APR阶段308可包括多个子阶段,包括平面布置floorplan310、放置312、时钟树合成(clocktreesynthesis,CTS314、路由316、及后路由(post-route幻8。在一些实施例中,APR阶段308以获得输入为开始,所述输入包括IBPG胞元库302,0BPG胞兀库304、及设计3〇6例如,寄存器传送级registertransferlevel,RTL设计)aAPR阶段308的输出可包括IC布局,所述IC布局可转换成呈图形数据库系统graphicdatabasesystem,GDS格式320或任何其他适合格式例如开放工艺图系统互换标准openartworksysteminterchangestandard,0ASIS格式)的掩模作品(maskwork。[0033]在一些实施例中,平面布置310可将IC的设计306指派给芯片的总区(grossregion,指派输入输出(inputoutput,l0引脚,且放置大的对象(例如,阵列,核心等)。放置312可将胞元库例如,IBPG胞元库302及或0BPG胞元库304中的胞元例如,IBPG胞元及或OBPG胞元放置到布局区域中的非交叠位置。CTS314可向设计中引入时钟信号导线例如,时钟树)。路由316可添加对在放置312期间放置的胞元进行连接的导线。后路由318可包括移除性能时间收敛timeclosure、噪声信号完整性signalintegrity、及成品率违例yieldviolation。在一些实施例中,后路由318还可包括基于全局路由及时钟定时优化对胞元及导线放置进行迭代精化iterativerefinement。[0034]参照图3,可通过向IBPG胞元库302中的IBPG胞元引入统一的PG位置及在APR阶段308中的放置期间托管IBPG胞元的受约束取向来实现受约束的IBPG胞元放置。应知,IBPG胞元放置可在放置312中进行,或在APR阶段3〇8的任何其他适合子阶段例如,CTS314或后路由318中进行。以下参照图4A到图7来阐述具有统一的PG位置及受约束取向IBPG胞元放置的IBPG胞元库302的细节。[0035]图4A及图4B是根据本发明一些实施例的具有统一的PG位置的示例性IBPG胞元的示意图。在一些实施例中,IBPG胞元库302可包括IBPG胞元402及410,IBPG胞元402及410中的每一者相对于各自的参考点具有统一的PG位置。在一些实施例中,IBPG胞元库302可包括IBPG胞元418及426,IBPG胞元418及426中的每一者相对于各自的参考点具有统一的PG位置。在如图4A中所示的一些实施例中,对于每一IBPG胞元402或410,第一本地金属轨条例如,接地线VSS比第二本地金属轨条例如,电源线VDD更靠近各自的参考点。举例来说,对于IBPG胞元402,接地线VSS406比电源线VDD404更靠近参考点408;对于IBPG胞元410,接地线VSS414比电源线VDD412更靠近参考点416。即,每一IBPG胞元中的相对于参考点的相对PG位置在图4A中是固定的,例如电源线VDD比接地线VSS更远离所述参考点。应知,对于每一IBPG胞元,参考点及PG线的位置可有所变化。[0036]在如图4B中所示的一些实施例中,对于每一IBPG胞元418或426,第一本地金属轨条例如,电源线VDD比第二本地金属轨条例如,接地线VSS更靠近参考点。举例来说,对于IBPG胞元418,电源线VDD420比接地线VSS4M更靠近参考点424;对于IBPG胞元426,电源线VDD428比接地线VSS430更靠近参考点432。即,每一IBPG胞元中的相对于参考点的相对PG位置在图4B中是固定的,例如接地线VSS比电源线VDD更远离所述参考点。应知,对于每一IBPG胞元,参考点及PG线的位置可有所变化。[0037]图3中的IBPG胞元库302可包括如图4A中所示的具有统一的相对PG位置的IBPG胞元或可包括如图4B中所示的具有统一的相对PG位置的IBPG胞元。换句话说,对于IBPG胞元库302中的每一IBPG胞元,第一本地金属轨条比第二本地金属轨条更靠近参考点。应知,在一些实施例中,当IBPG胞元库302中的IBPG胞元的相对PG位置随后变得不再统一时,图4A中的IBPG胞元无法与图4B中的IBPG胞元混合在一起。举例来说,图4A中的IBPG胞元402与图4B中的IBPG胞元418具有不同的相对PG位置。[0038]图5是根据本发明一些实施例的具有受约束取向的示例性IBPG胞元放置的示意图。布局区域500可包括多个第一全局金属轨条5〇2例如,电源线VDD及多个第二全局金属轨条504例如,接地线VSS。第一全局金属轨条5〇2与第二全局金属轨条504可以均匀的(或几近均匀的)节距在布局区域5〇〇中彼此交替。一旦来自IBPG胞元库302的具有统一的PG位置的IBPG胞元5〇6被放置在布局区域500中。如图4A中所示IBPG胞元402—样,IBPG胞元506-1到506-5具有相同的相对PG位置。在放置期间,对于每一IBPG胞元506,第一本地金属轨条例如,本地电源线VDD可与第一全局金属轨条502例如,全局电源线VDD中的一者对齐,且第二本地金属轨条例如,本地接地线VSS可与第二全局金属轨条504例如,全局接地线VSS中的一者对齐。此外,如图5中所示,在放置之后,每一IBPG胞元506相对于各自的参考点具有共同的取向。举例来说,IBPG胞元506的参考点位于相应的IBPG胞元506的左下角处。由于来自IBPG胞元库302的IBPG胞元506无法在放置期间垂直地沿高度翻转,因此这些胞元的取向受约束。[0039]因此,根据一些实施例,通过对IBPG胞元库3〇2中的每一IBPG胞元506的相对于参考点的相对PG位置施加约束及对在放置之后每一IBPG胞元506的相对于参考点的取向施加约束,对于每一所放置的IBPG胞元506,第一本地金属轨条例如,本地电源线VDD位于第二本地金属轨条例如,本地接地线VSS上方。应知,在一些实施例中,第一本地金属轨条可对应于接地线VSS且第二本地金属轨条可对应于电源线VDD。然而,通过确保对于每一所放置的IBPG胞元而言第一本地金属轨条位于第二本地金属轨条上方,可避免在IBPG胞元之间形成失效区域,原因是沿所述高度的IBPG胞元之间的任何空间可适配至少一fIBPG胞元。相比之下,如图2中所示,对于所放置的IBPG胞元2〇6_2,在布局区域200中第一本地金属轨条位于第二本地金属轨条上方,而对于其他所放置的IBPG胞元2〇6-1及206-3,在布局区域202中第二本地金属轨条位于第一本地金属轨条上方。因此,在图2中的IBPG胞元206之间会形成失效区域208。[0040]图6是根据本发明一些实施例的在布局区域中放置胞元的方法600的示意图。可通过例如在计算机系统例如以下参照图10所述的示例性计算机系统1000上运行的EDA工具来实行方法600中所绘示的操作。应知,可能并不需要进行所有操作来实行本文中所提供的本发明,且应知,可实行一个或多个附加操作。此外,可同时地或以与图6中所示次序不同的次序实行所述操作中的一些操作。[0041]在操作6〇2中,可提供具有两种类型的全局金属轨条的1C布局。第一类型的所述多个全局金属轨条中的每一者及第二类型的所述多个全局金属轨条中的每一者可在布局区域中彼此交替。举例来说,如图5中所示,布局区域500设置有交替的电源线VDD502与接地线VSS504。根据一些实施例,交替的电源线VDD5〇2与接地线VSS504之间的距离是相等的或几近相等的)。[0042]在操作6〇4中,可获得具有带有统一的本地金属轨条位置的胞元的胞元库。每一胞元可包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条。举例来说,如图4A及图4B中所示,来自IBPG胞元库302的IBPG胞元402及410或IBPG胞元418及426包括在每一IBPG胞元中相对于各自的参考点具有统一的位置的本地电源线VDD及本地接地线VSS〇[0043]在操作606中,可从胞元库中撷取胞元。EDA工具可在将胞元放置在布局区域中之前从胞元库中自动地或通过手动指令擷取所述胞元。[0044]在操作608中,可将胞元放置在其中每一胞元具有受约束取向的布局区域中。具体来说,每一所放置的胞元可相对于各自的参考点具有共同的取向。举例来说,如图5中所示,每一所放置的IBPG胞元506相对于各自的参考点具有共同的取向。[0045]作为方法600的结果,对于所放置的胞元中的第一胞元及第二胞元,(i第一胞元的第一本地金属轨条与第一类型的第一全局金属轨条对齐;(ii第一胞元的第二本地金属轨条与第二类型的第一全局金属轨条对齐,其中第二类型的所述第一全局金属轨条与第一类型的所述第一全局金属轨条相邻;(iii第二胞元的第一本地金属轨条与第一类型的第二全局金属轨条对齐,其中第一类型的所述第二全局金属轨条与第二类型的第一全局金属轨条相邻;以及(iv第二胞元的第二本地金属轨条与第二类型的第二全局金属轨条对齐,其中第二类型的所述第二全局金属轨条与第一类型的第二全局金属轨条相邻。举例来说,如图5中所示,第一IBPG胞元5〇6-1的本地电源线VDD与全局电源线VDD502-2对齐;第一IBPG胞兀5〇6_1的本地接地线VSS与和全局电源线VDD502-2相邻的全局接地线VSS504-2对齐;第二IBPG胞元506-2的本地电源线VDD与和全局接地线VSS504-2相邻的全局电源线VDD502-3对齐;第二IBPG胞元506-2的本地接地线VSS与和全局电源线VDD502-3相邻的全局接地线VSS504-3对齐。[0046]图7是根据本发明一些实施例的使用受约束的胞元放置的另—IC设计流程7〇〇的示例图的示意图。1C设计流程700可通过EDA工具来实行。1C设计流程700包括APR阶段708,APR阶段7〇8是1C的实体设计流程的一部分。在一些实施例中,afR阶段708可包括多个子阶段,包括平面布置710、放置712、CTS714、路由7ie、及后路由718。在一些实施例中,APR阶段7〇8以获得输入为开始,所述输入包括IBPG胞元库702,0BPG胞元库704、及设计706例如,RTL设计)APR阶段7〇8的输出可包括1C布局,所述1C布局可转换成呈GDS格式720或任何其他适合格式例如OASIS格式的掩模作品。[0047]在一些实施例中,平面布置H0可将1C的设计706指派给芯片的总区,指派IO引脚,且放置大的对象例如,阵列,核心等)。放置712可将胞元库例如,IBPG胞元库702及或0BPG胞元库7〇4中的胞元例如,IBPG胞元及或0BPG胞元放置到布局区域中的非交叠位置。CTS714可向设计中引入时钟信号导线例如,时钟树)。路由716可添加对在放置712期间放置的胞元进行连接的导线。后路由718可包括移除性能(时间收敛)、噪声(信号完整性)、及成品率违例。在一些实施例中,后路由718还可包括基于全局路由及时钟定时优化对胞元及导线放置进行迭代精化。[0048]1C设计流程700的受约束的IBPG胞元放置可在不使IBPG胞元库702中的PG位置统一的条件下实现。在一些实施例中,IBPG胞元库702中的每一IBPG胞元的PG位置可在放置期间受约束。应知,IBPG胞元放置可在放置712中进行,或在APR阶段708的任何其他适合子阶段例如,CTS714或后路由718中进行。以下参照图8A及图9来阐述受约束PG位置IBPG胞元放置的细节。[0049]图8A及图8B是根据本发明一些实施例的具有受约束的PG位置的示例性IBPG胞元放置的示意图。在图8A中,IBPG胞元806可不相对于每一IBPG胞元806各自的参考点具有统一的相对本地金属轨条位置。相似地,在图8B中,IBPG胞元808可不相对于每一IBPG胞元808各自的参考点具有统一的相对本地金属轨条位置。即,可将具有带有和对应全局金属轨条匹配的恰当本地金属轨条的标准IBPG胞元的任何IBPG胞元库放置在图8A及图8B中的布局区域800中。[0050]图8A及图8B中的布局区域800可包括多个第一全局金属轨条802例如,电源线VDD及多个第二全局金属轨条804例如,接地线VSS。第一全局金属轨条802与第二全局金属轨条804可以均匀的(或几近均匀的)节距在布局区域800中彼此交替。参照图8A,一旦来自IBPG胞元库702的IBPG胞元806被放置在布局区域800中,则对于每一IBPG胞元806,第一本地金属轨条例如,本地电源线VDD可与第一全局金属轨条例如,全局电源线VDD中的一者对芥,且第二本地金属轨条例如,本地接地线vss可与第二全局金属轨条例如,全局接地线VSS中的一者对齐。此外,如图8A中所示,在放置之后,对于每一IBpG胞元806,第一本地金属轨条例如,本地电源线VDD位于第二本地金属轨条例如,本地接地线VSS上方。即,所述放置对每一IBPG胞元806施加统一的本地金属轨条位置例如,在放置之后,一种类型的本地金属轨条位于另一种类型的本地金属轨条上方)。[0051]相似地,在图SB中,所述放置还对每一IBPG胞元808施加统一的本地金属轨条位置。与图8A中的IBPG胞元8〇6不同,在图8B中,在放置之后,对于每一IBPG胞元808,第一本地金属轨条例如,本地接地线VSS位于第二本地金属轨条例如,本地电源线VDD上方。然而,通过确保对于每一所放置的IBPG胞元而言第一本地金属轨条位于第二本地金属轨条上方,可避免在IBPG胞元之间形成失效区域,原因是沿所述高度的IBPG胞元之间的任何空间可适配至少一个IBPG胞元。相比之下,如图2中所示,对于所放置的IBPG胞元206-2,在布局区域200中第一本地金属轨条位于第二本地金属轨条上方,而对于其他所放置的;[BPG胞元206-1及206-3,在布局区域202中第二本地金属轨条位于第一本地金属轨条上方。因此,在图2中的IBPG胞元206之间会形成失效区域208。[0052]图9是根据本发明一些实施例的在布局中放置胞元的方法9〇〇的示意图。可通过例如在计算机系统例如以下参照图10所述的示例性计算机系统1000上运行的EDA工具来实行方法900中所绘示的操作。应知,可能并不需要进行所有操作来实行本文中所提供的本发明,且应知,可实行一个或多个附加操作。此外,可同时地或以与图9中所示次序不同的次序实行所述操作中的一些操作。[0053]在操作9〇2中,可提供具有两种类型的全局金属轨条的1C布局。第一类型的所述多个全局金属轨条中的每一者及第二类型的所述多个全局金属轨条中的每一者可在布局区域中彼此交替。举例来说,如图8A及图8B中所示,布局区域800设置有交替的电源线VDD802与接地线VSS804。根据一些实施例,交替的电源线VDD802与接地线VSS804之间的距离是相等的或几近相等的)。[OOM]在操作904中,可获得具有标准胞元的胞元库。每一胞元可包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条。举例来说,如图8A及图8B中所示,来自IBPG胞元库702的IBPG胞元806及808不需要相对于各自的参考点具有统一的本地金属轨条位置。[0055]在操作906中,可从胞元库中撷取胞元。EDA工具可在将胞元放置在布局区域中之前从胞元库中自动地或通过手动指令撷取所述胞元。[0056]在操作9〇8中,可将胞元放置在其中每一胞元具有受约束的本地金属轨条位置的布局区域中。具体来说,每一所放置的胞元可具有共同的本地金属轨条位置。举例来说,如图8A中所示,对于每一所放置的IBPG胞元806,本地电源线VDD位于本地接地线VSS上方;如图部中所示,对于每一所放置的IBPG胞元8〇8,本地接地线VSS位于本地电源线VDD上方。[0057]作为方法900的结果,对于所放置的胞元中的第一胞元及第二胞元,(i第一胞元的第一本地金属轨条与第一类型的第一全局金属轨条对齐;(ii第一胞元的第二本地金属轨条与第二类型的第一全局金属轨条对齐,其中第二类型的所述第一全局金属轨条与第一类型的所述第一全局金属轨条相邻;(iii第二胞元的第一本地金属轨条与第一类型的第二全局金属轨条对齐,其中第一类型的所述第二全局金属轨条与第二类型的第一全局金属軌条相邻;以及(iv第二胞元的第二本地金属轨条与第二类型的第二全局金属轨条对齐,其中第二类,的所述第二全局金属轨条与第一类型的第二全局金属轨条相邻。举例来说,如图8A中所示,第一IBPG胞元8〇6_1的本地电源线VDD与全局电源线VDD802-2对齐;第一IBPG胞元806-1的本地接地线VSS与和全局电源线VDD802-2相邻的全局接地线VSS804-2对齐;第二IBPG胞元806-2的本地电源线VDD与和全局接地线VSS804-2相邻的全局电源线VDD802-3对齐;第二IBPG胞元806-2的本地接地线VSS与和全局电源线VDD802-3相邻的全局接地线VSS804-3对齐。[0058]图1〇是根据一些实施例的可在其中实作本发明的各种实施例的示例性计算机系统1000的示意图。计算机系统1000可为能够实行本文中所述功能及操作的任何众所熟知的计算机。举例来说且无限制),计算机系统1000可能够使用例如EDA工具在1C布局设计中放置标准胞元。计算机系统1000可用于例如执行方法600及900中的一个或多个操作,方法600及900阐述在布局中放置胞元的示例性方法。[0059]计算机系统1000包括一个或多个处理器(也称为中央处理器(centralprocessingunit或CPU,例如处理器1004。处理器1004连接到通信基础设施或总线1006。计算机系统1000还包括例如经由输入输出接口1002与通信基础设施或总线1006进行通信的输入输出装置1003,例如监视器、键盘、指针装置pointingdevice等。EDA工具可经由输入输出装置1003来接收用于实作本文中所述功能及操作例如,图6所示方法600及图9所不方法9〇〇的指令。计算机系统1000还包括主或主要存储器mainorprimarymemory1008,例如随机存取存储器randomaccessmemory,RAM。主存储器1008可包括一个或多个缓存级。主存储器1008中存储有控制逻辑例如,计算机软件及或数据。在一些实施例中,控制逻辑例如,计算机软件及或数据可包括以上参照图6所示方法600及图9所示方法900所述的操作中的一者或多者。[0060]计算机系统1000还可包括一个或多个辅助存储装置或存储器1010。辅助存储器1010可包括例如硬盘驱动1012及或可移除存储装置或驱动1014。可移除存储装置1014可为软盘驱动、磁带驱动、光盘驱动、光学存储装置、磁带备份装置、及或任何其他存储装置驱动。[0061]可移除存储驱动1014可与可移除存储单元1018交互作用。可移除存储单元1018包括其上存储有计算机软件控制逻辑及或数据的计算机可用存储装置或计算机可读存储装置。可移除存储单元1018可为软盘、磁带、光盘、DVD、光学存储盘、及或任何其他计算机数据存储装置。可移除存储驱动1014以众所熟知的方式从可移除存储单元1018进行读取及或向可移除存储单元1018进行写入。[0062]根据一些实施例,辅助存储器1010可包括其他方式、手段、或其他途径来使得计算机程序及或其他指令及或数据能够被计算机系统1000存取。此种方式、手段、或其他途径可包括例如可移除存储单元1022及接口1020。可移除存储单元1022及接口1020的实例可包括程序盒programcartridge及盒式接口(cartridgeinterface例如见于视频游戏装置中)、可移除存储芯片(例如,可擦可编程只读存储器(erasablePr〇grammablereadonlymemory,EPR0M或可编程只读存储器programmablereadonlymemory,PROM及相关联插座、存储条及通用串行总线universalserialbus,USB端口、存储卡及相关联存储卡槽、及或任何其他可移除存储单元及相关联接口。在一些实施例中,辅助存储器1010、可移除存储单元1018、及或可移除存储单元1022可包括以上参照图6所示方法600及图9所示方法900所述的操作中的一者或多者。[0063]计算机系统1000还可包括通信或网络接口1024。通信接口1024能够使计算机系统1000与远程装置、远程网络、远程实体等通过参考编号1028来各别地及笼统地引用)的任意组合进行通信及交互作用。举例来说,通信接口1024可使得计算机系统1000能够经由通信路径1026与远程装置1028进行通信,通信路径1026可为有线的及或无线的且可包括局域网(localareanetwork,LAN、广域网(wideareanetwork,WAN、互联网等的任意组合。控制逻辑及或数据可经由通信路径1026而往来于计算机系统1000进行传输。[0064]前面的实施例中的操作可在各种各样的配置及架构中实作。因此,前面的实施例例如,图6所示方法600及图9所示方法900中的操作中的一些操作或所有操作可在硬件中、软件中、或硬件与软件二者中实行。在一些实施例中,包括其上存储有控制逻辑软件)的有形计算机可用或可读媒体的有形设备或制品在本文中也称为计算机程序产品或程序存储装置。此包括但不限于计算机系统1000、主存储器1008、辅助存储器1010以及可移除存储单元1018及1022、以及使用前述者的任意组合的有形制品。如本文中所述,此种控制逻辑在由一个或多个数据处理装置例如计算机系统1000执行时使此种数据处理装置进行操作。[0065]图11是根据本发明一些实施例的进行电路制作的示例性方法1100的示意图。在一些实施例中,可以不同次序实行方法1100的操作。方法1100的变型也应处于本发明的范围内。[0066]在操作1102中,提供1C布局。1C布局可为由以上图3、图6、图7、及图9提供的布局。1C布局可被实施为任何适合的文件格式,例如但不限于GDS或OASIS格式。举例来说,可检查1C布局是否存在设计规则违例及错误,且确认所述错误及或违例已经过修正。可通过例如在计算机系统例如以上所述计算机系统1000上运行的EDA工具来实行1102中所绘示的操作。[0067]在操作1104中,基于1C布局形成光掩模,例如实施成GDS文件。在一些实施例中,将操作1102中所提供的1C布局用于下线操作tape-outoperation来产生用于制作一个或多个集成电路的光掩模。在一些实施例中,可将1C布局读取及转移到石英衬底或玻璃衬底上以形成与电路布局对应的不透明图案。不透明图案可由例如铬或任何其他适合的金属制成。可由光掩模制造商来实行操作1104,其中使用适合的软件工具例如,EDA工具读取电路布局且使用适合的印刷沉积工具将所述电路布局转移到衬底上。光掩模反映1C布局文件例如GDS文件)中所包括的电路布局特征。[0068]在操作1106中,基于在操作1104中产生的光掩模形成一个或多个电路。在一些实施例中,使用光掩模来形成1C布局中所含有的电路的图案结构。在一些实施例中,使用各种制作工具例如,光刻装备、沉积装备、及刻蚀装备来形成所述一个或多个电路的特征。[0069]所公开实施例涉及优化IC布局设计中的标准胞元例如,用于实作逻辑功能或其他电子功能的胞元)的放置。在一些实施例中,胞元库包括具有统一的金属轨条(例如,PG线位置的胞元例如,IBPG胞元)。胞元库中的胞元被放置在其中每一胞元具有带有受约束定位的金属轨条(例如,PG线)的1C布局区域中。在一些实施例中,胞元库中的胞元例如,IBPG胞元不需要统一的金属轨条位置。胞元库中的胞元被放置在其中每一胞元具有受约束的金属轨条例如,PG线位置的1C布局区域中。通过利用本文中所公开的胞元放置方法,胞元例如,IBPG胞元之间的失效区域可减少或消除,此转而会优化1C布局设计中的标准胞元的放置。[0070]本发明的实施例阐述一种进行胞元放置的方法。所述方法包括从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条。所述方法还包括由处理器将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的全局金属轨条及第二类型的全局金属轨条。所述第一类型的全局金属轨条中的每一者及所述第二类型的全局金属轨条中的每一者在所述布局区域中彼此交替。所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐。所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐。所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻。所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐。所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻。所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐。所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。[0071]在一些实施例中,所述第一胞元及所述第二胞元中的每一者包括参考点;对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条比所述第二本地金属轨条更靠近所述参考点。在一些实施例中,在所述放置之后,所述第一胞元及所述第二胞元中的每一者相对于各自的所述参考点具有共同的定位。在一些实施例中,所述第一类型的所述多个全局金属轨条中的每一者对应于电源线;且所述第二类型的所述多个全局金属轨条中的每一者对应于接地线。在一些实施例中,所述第一类型的所述多个全局金属轨条中的每一者对应于接地线;且所述第二类型的所述多个全局金属轨条中的每一者对应于电源线。在一些实施例中,对于所述第一胞元及所述第二胞元中的每一者,所述顶边界与所述底边界之间的高度等于所述第一本地金属轨条与所述第二本地金属轨条之间的距离的两倍。在一些实施例中,所述第一胞元及所述第二胞元中的每一者在各自的所述顶边界与所述底边界之间测量的高度相等;且所述布局区域中的所述第一类型的所述全局金属轨条及所述第二类型的所述全局金属轨条中的每一者具有彼此相等的距离。在一些实施例中,对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条与所述第二本地金属轨条之间的距离等于所述布局区域中的所述第一类型的所述全局金属轨条及所述第二类型的所述全局金属轨条中的每一者之间的距离。[0072]本发明的实施例阐述一种具有存储器及处理器的计算机系统。所述存储器被配置成存储指令。在执行所述指令时,所述处理器被配置成实行操作,所述操作包括:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条。所述操作还包括:将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的全局金属轨条及第二类型的全局金属轨条。所述第一类型的全局金属轨条中的每一者及所述第二类型的全局金属轨条中的每一者在所述布局区域中彼此交替。所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐。所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐。所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻。所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐。所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻。所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐。所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。[0073]在一些实施例中,所述第一胞元及所述第二胞元中的每一者包括参考点。对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条比所述第二本地金属轨条更靠近所述参考点。在一些实施例中,在所述放置之后,所述第一胞元及所述第二胞元中的每一者相对于各自的所述参考点具有共同的取向。在一些实施例中,所述第一类型的所述多个全局金属轨条中的每一者对应于电源线;且所述第二类型的所述多个全局金属轨条中的每一者对应于接地线。在一些实施例中,所述第一类型的所述多个全局金属轨条中的每一者对应于接地线;且所述第二类型的所述多个全局金属轨条中的每一者对应于电源线。在一些实施例中,对于所述第一胞元及所述第二胞元中的每一者,所述顶边界与所述底边界之间的高度等于所述第一本地金属轨条与所述第二本地金属轨条之间的距离的两倍。在一些实施例中,所述第一胞元及所述第二胞元中的每一者在各自的所述顶边界与所述底边界之间测量的高度相等;且所述布局区域中的所述第一类型的所述全局金属轨条及所述第二类型的所述全局金属轨条中的每一者具有彼此相等的距离。在一些实施例中,对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条与所述第二本地金属轨条之间的距离等于所述布局区域中的所述第一类型的所述全局金属轨条及所述第二类型的所述全局金属轨条中的每一者之间的距离。[0074]本发明的实施例阐述一种非暂时性计算机可读媒体。所述非暂时性计算机可读媒体上存储有指令,所述指令在由计算装置执行时使所述计算装置实行操作。所述操作包括:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条。所述操作还包括:将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的全局金属轨条及第二类型的全局金属轨条。所述第一类型的全局金属轨条中的每一者及所述第二类型的全局金属轨条中的每一者在所述布局区域中彼此交替。所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐。所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐。所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻。所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐。所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻。所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐。所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。[0075]在一些实施例中,所述第一胞元及所述第二胞元中的每一者包括参考点。对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条比所述第二本地金属轨条更靠近所述参考点。在一些实施例中,在所述放置之后,所述第一胞元及所述第二胞元中的每一者相对于各自的所述参考点具有共同的取向。在一些实施例中,对于所述第一胞元及所述第二胞元中的每一者,所述顶边界与所述底边界之间的高度等于所述第一本地金属轨条与所述第二本地金属轨条之间的距离的两倍。[0076]应理解,具体实施方式部分而非说明书摘要部分旨在用于解释权利要求书。说明书摘要部分可述及由本发明人所设想的本公开的一个或多个实施例而非所有可能的实施例,且因此,并不旨在以任何方式限制随附权利要求书。[0077]以上公开概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本发明的各个方面。所属领域中的技术人员应知,其可容易地使用本发明作为设计或修改其他工艺及结构的基础来施行本文中所公开的实施例的目的及或实现本文中所公开的实施例的优点。所属领域中的技术人员还应认识到此种等效构造并不背离本发明的精神及范围,以及他们可在不背离本发明的精神及范围的条件下对其做出各种改变、替代及变更。[0078][符号的说明][0079]100、200、500、800:布局区域[0080]102-1、102-2:第二全局金属轨条全局接地线VSS[0081]104-1:第一全局金属轨条全局电源线VDD[0082]104-2:第一全局金属轨条[0083]106-1、106-2:0BPG胞元[0084]108-1、108-2、402、410、418、426、506-1、506-2、506-3、506-4、506-5:IBPG胞元[0085]110-1、110-2:本地接地线VSS第二本地金属轨条[0086]112-1、112-2:本地电源线VDD第一本地金属轨条[0087]114-1、114-2、408、416、424、432:参考点[0088]300、700:1C设计流程[0089]302、702:IBPG胞元库[0090]304、704:0BPG胞元库[0091]306、706:设计[0092]308、708:自动放置及路由(APR阶段[0093]310、710:平面布置[0094]312、712:放置[0095]314、714:时钟树合成CTS[0096]316、716:路由[0097]318、718:后路由[0098]320、720:图形数据库系统GDS格式[0099]404、412、420、428:电源线VDD[0100]406、414、422、430:接地线VSS[0101]502-2、502-3、802-2、802-3:全局电源线¥00[0102]504-2、504-3、804-2、804-3:全局接地线VSS[0103]600、900、1100:方法[0104]602、604、606、608、902、904、906、908、1102、1104、1106:操作[0105]806-1:第一IBPG胞元[0106]806-2:第二IBPG胞元[0107]1000:计算机系统[0108]1002:输入输出接口[0109]1003:输入输出装置[0110]1004:处理器[0111]1006:通信基础设施总线[0112]1008:主存储器主要存储器[0113]1010:辅助存储装置辅助存储器[0114]1012:硬盘驱动[0115]1014:可移除存储装置可移除存储驱动[0116]1018、1022:可移除存储单元[0117]1020:接口[0118]1024:通信接口网络接口[0119]1026:通信路径[0120]1028:远程装置[0121]VDD:电源线[0122]VSS:接地线

权利要求:1.一种胞元放置的方法,其特征在于,包括:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及由处理器将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。2.根据权利要求1所述的方法,其特征在于,所述第一胞元及所述第二胞元中的每一者包括参考点;对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条比所述第二本地金属轨条更靠近所述参考点。3.根据权利要求2所述的方法,其特征在于,在所述放置之后,所述第一胞元及所述第二胞元中的每一者相对于各自的所述参考点具有共同的定位。4.根据权利要求1所述的方法,其特征在于,所述第一类型的所述多个全局金属轨条中的每一者对应于电源线及接地线的其中一者;且所述第二类型的所述多个全局金属轨条中的每一者对应于接地线及接地线的另外一者。5.—种计算机系统,其特征在于,包括:存储器,被配置成存储指令;以及处理器,所述处理器在执行所述指令时,被配置成实行包括以下的操作:从胞元库中擷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。6.根据权利要求5所述的计算机系统,其特征在于,所述第一胞元及所述第二胞元中的每一者包括参考点;对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条比所述第二本地金属轨条更靠近所述参考点。7.根据权利要求6所述的计算机系统,其特征在于,在所述放置之后,所述第一胞元及所述第二胞元中的每一者相对于各自的所述参考点具有共同的取向。8.根据权利要求5所述的计算机系统,其特征在于,所述第一类型的所述多个全局金属轨条中的每一者对应于电源线及接地线的其中一者;且所述第二类型的所述多个全局金属轨条中的每一者对应于接地线及接地线的另外一者。9.一种非暂时性计算机可读媒体,其特征在于,所述非暂时性计算机可读媒体上存储有指令,所述指令在由计算装置执行时使所述计算装置实行包括以下的操作:从胞元库中撷取第一胞元及第二胞元,所述第一胞元及所述第二胞元各自包括与顶边界邻近的第一本地金属轨条及与底边界邻近的第二本地金属轨条;以及将所述第一胞元及所述第二胞元放置在布局区域中,所述布局区域包括第一类型的多个全局金属轨条及第二类型的多个全局金属轨条,所述第一类型的所述多个全局金属轨条中的每一者及所述第二类型的所述多个全局金属轨条中的每一者在所述布局区域中彼此交替,其中:所述第一胞元的所述第一本地金属轨条与所述第一类型的第一全局金属轨条对齐;所述第一胞元的所述第二本地金属轨条与所述第二类型的第一全局金属轨条对齐,其中所述第二类型的所述第一全局金属轨条与所述第一类型的所述第一全局金属轨条相邻;所述第二胞元的所述第一本地金属轨条与所述第一类型的第二全局金属轨条对齐,其中所述第一类型的所述第二全局金属轨条与所述第二类型的所述第一全局金属轨条相邻;且所述第二胞元的所述第二本地金属轨条与所述第二类型的第二全局金属轨条对齐,其中所述第二类型的所述第二全局金属轨条与所述第一类型的所述第二全局金属轨条相邻。10.根据权利要求9所述的非暂时性计算机可读媒体,其特征在于,所述第一胞元及所述第二胞元中的每一者包括参考点;对于所述第一胞元及所述第二胞元中的每一者,所述第一本地金属轨条比所述第二本地金属轨条更靠近所述参考点。

百度查询: 台湾积体电路制造股份有限公司 胞元放置的方法、计算机系统及非暂时性计算机可读媒体

免责声明
1、本报告根据公开、合法渠道获得相关数据和信息,力求客观、公正,但并不保证数据的最终完整性和准确性。
2、报告中的分析和结论仅反映本公司于发布本报告当日的职业理解,仅供参考使用,不能作为本公司承担任何法律责任的依据或者凭证。