买专利,只认龙图腾
首页 专利交易 科技果 科技人才 科技服务 商标交易 会员权益 IP管家助手 需求市场 关于龙图腾
 /  免费注册
到顶部 到底部
清空 搜索

【发明公布】一种基于FPGA处理的实时短波模拟话音降噪方法_西安烽火电子科技有限责任公司_202311854350.X 

申请/专利权人:西安烽火电子科技有限责任公司

申请日:2023-12-29

公开(公告)日:2024-04-09

公开(公告)号:CN117854530A

主分类号:G10L21/0208

分类号:G10L21/0208;G10L21/0232

优先权:

专利状态码:在审-实质审查的生效

法律状态:2024.04.26#实质审查的生效;2024.04.09#公开

摘要:本发明涉及一种基于FPGA处理的实时短波模拟话音降噪方法,包括对通过射频模数转换器输出的数字信号先进行下变频处理,得到频率为8KHz基带音频信号;再对基带音频信号分别进行幅度检测和自动增益控制处理,判决出在300Hz~3000Hz范围内是否存在强单音、或强双音干扰,若存在,通过带组滤波器对所述基带音频信号进行降噪处理,最后将基带音频信号及降噪处理后的音频信号均传输给音频数模转换器进行转换,得到未经降噪处理的模拟信号和降噪处理后的模拟信号。本发明降噪过程中实现了音频降噪的自动开启及等级切换;在提高模拟话音可懂度的同时也能够收听未经降噪处理的模拟话音,避免漏听现象的发生。

主权项:1.一种基于FPGA处理的实时短波模拟话音降噪方法,其特征在于,包括以下步骤:S1、通过射频模数转换器将接收到的模拟信号进行转换,得到数字信号,所述模拟信号的范围为300Hz~3000Hz;S2、对S1得到的数字信号进行下变频处理,得到频率为8KHz基带音频信号;S3、基于所述基带音频信号判决出在300Hz~3000Hz范围内是否存在强单音或强双音干扰源,若不存在,停止处理;若存在,进入S4;S4、通过带组滤波器对所述干扰源进行滤波处理,得到降噪处理后的音频信号;S5、将增益后的基带音频信号及降噪处理后的音频信号均传输给音频数模转换器进行转换,得到未经降噪处理的模拟信号和降噪处理后的模拟信号。

全文数据:

权利要求:

百度查询: 西安烽火电子科技有限责任公司 一种基于FPGA处理的实时短波模拟话音降噪方法

免责声明
1、本报告根据公开、合法渠道获得相关数据和信息,力求客观、公正,但并不保证数据的最终完整性和准确性。
2、报告中的分析和结论仅反映本公司于发布本报告当日的职业理解,仅供参考使用,不能作为本公司承担任何法律责任的依据或者凭证。